SlideShare une entreprise Scribd logo
1  sur  28
Télécharger pour lire hors ligne
From Technologies to Markets
© 2021
Lithography and
Bonding equipment
for More than
Moore 2021
Market and Technology
Report 2021
Sample
2
• Glossary and definition 2
• Table of contents 4
• Report objectives 6
• Report scope 7
• Who should read this report? 10
• Report methodology 11
• About the authors 12
• Companies cited in this report 13
• What we got right, what we got wrong 14
• Disclaimer 15
• Three-page summary 16
• Executive summary 20
o Three-page summary 21
o Lithography 28
o Permanent bonding 42
o Temporary bonding 53
• Context 63
o More than Moore devices 64
o More than Moore equipment 73
o Players 80
o Market movements 104
o Geopolitical factors 111
TABLE OF CONTENTS
Part 1/2
• Lithography equipment 117
o Lithography adoption in MtM devices 123
o Lithography equipment benchmark 124
o Maskless lithography 140
o MEMS and sensors lithography 148
o Trends and requirements
o Substrate material and size
o Market assumptions and forecast
o Power devices lithography 165
o Trends and requirements
o Substrate material and size
o Market assumptions and forecast
o RF devices lithography 179
o Trends and requirements
o Substrate material and size
o Market assumptions and forecast
o CMOS Image Sensor lithography 195
o Trends and requirements
o Substrate material and size
o Market assumptions and forecast
o Advanced packaging lithography 209
o Trends and requirements
o Focus on panel level packaging
o Focus on panel level packaging
o Lithography equipment market forecast 258
Lithography and Bonding Equipment for More than Moore 2021 | Report | www.yole.fr | ©2021
3
TABLE OF CONTENTS
Part 2/2
• Permanent bonding equipment 270
o Scope of this report 271
o Wafer-to-wafer vs die-to-wafer 272
o Permanent bonding technologies 285
o Permanent bonding adoption in MtM devices 287
o Permanent bonding equipment benchmark 291
o Emerging permanent bonding technologies 294
o MEMS and sensors permanent bonding 297
o Trends and requirements
o Substrate material and size
o Market assumptions and forecast
o 3D stacking and integration permanent bonding 315
o Hybrid bonding definition and adoption
o Market assumptions and forecast
o CIS permanent bonding 327
o Trends and requirements
o Substrate material and size
o Market assumptions and forecast
o SOI and engineered substrates permanent bonding 342
o Permanent bonding equipment market forecast 348
• Temporary bonding equipment 360
• Temporary bonding adoption in MtM devices 361
• Temporary bonding technology and equipment 369
• Emerging temporary bonding technologies 382
• Temporary bonding equipment market forecast 385
• Temporary bonding carrier and adhesive 397
• Summary and outlooks 405
• Yole Group related reports 409
• How to use our data? 412
• Appendix 413
• Yole Corporate presentation 423
Lithography and Bonding Equipment for More than Moore 2021 | Report | www.yole.fr | ©2021
4
This is a subsequent update of the report byYole Développement on Equipment for Lithography and Bonding processes for
More than Moore devices, including MEMS and Sensors, RF, Power, CIS as well as some aspects of Advanced Packaging.
Objectives of this report:
1. To provide the overview on lithography and bonding equipment available on the market for MtM devices
as well as associated processes and their applications.
o State-of-the-art manufacturing processes and dedicated equipment, their challenges and potential
o Emerging manufacturing processes, their roadmap and dedicated equipment adaptation
2. To provide in-depth understanding of the MtM lithography and bonding ecosystem & players.
o Equipment Manufacturers landscape and technology segmentation
o Equipment Manufacturers market presence and market share
with respect to the technology and applications
1. To provide 2020-2026 forecast on the changing MtM lithography and bonding
equipment market
o Equipment technical adaptation,THP and ASP variation
o Market size and equipment adoption forecast in terms of units shipped and revenue
o Market size in terms of overall market presence and detailed 2020 market share
Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021
REPORT OBJECTIVES
Yours needs are
out of the report’
scope?
Contact us for a custom:
5
TaguhiYEGHOYAN
TaguhiYeghoyan PhD., is aTechnology & Market Analyst, Semiconductor Manufacturing at Yole Développement (Yole), within the
Semiconductor, Memory & Computing division.
Taguhi’s mission is to follow daily the semiconductor industry and its evolution. Based on her expertise in this field, especially on the
semiconductor supply chain (processes, materials, equipment and related applications),Taguhi performs technology & market reports
and is engaged in dedicated custom projects.
Prior toYole, she worked in world-class European research centers and laboratories, including imec (Belgium), LMI (Lyon, France) and
LTM at CEA Leti (Grenoble, France).All along her past experiences,Taguhi has authored or co-authored a patent and more than ten
papers.
She has graduated fromWroclaw University of Technology (Poland) and University of Lyon (France).Taguhi also completed her PhD. in
Material Science from the University of Lyon (France).
taguhi.yeghoyan@yole.fr
Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021
ABOUT THE AUTHORS
Biographies & contacts
6
Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021
GLOSSARY AND DEFINITIONS (1/2)
• ADB Atomic Diffusion Bonding • FEOL Front-End-of-Line
• AiP Antenna in Package • FOWLP Fan-Out Wafer Level Packaging
• ASP Average Selling Price • FOPLP Fan-Out Panel Level Packaging
• AR Augmented Reality • FSI Front-Side Illumination
• VR Virtual Reality • GaAs Gallium Arsenide
• ASIC Application-Specific Integrated Circuit • GaP Gallium phosphide
• BAW Bulk Acoustic Wave • HBT Heterojunction Bipolar Transistor
• BGA Ball Grid Array • HEMT High Electron Mobility Transistor
• BEOL Back-end Of Line • HVM High Volume Manufacturing
• Bi-CMOS Bipolar and CMOS process technology • IC Integrated Circuit
• BSI CIS Back-side illumination CMOS Image Sensor • ICB Impulse Current Bonding
• C2W Chip -to-Wafer (permanent bonding) • IDM Integrated Device Manufacturer
• CIS CMOS Image Sensors • IGBT Insulated Gate Bipolar Transistor
• CMP Chemical Chemical Polishing • IO Inputs/Outputs
• CoO Cost Of Ownership • IP Intellectual Property
• CSP Chip Scale Package • LAB Laser Assisted Annealing
• D2D Die-to-Die (permanent bonding) • LCD Liquid crystal display
• (co) D2W (collective) Die-to-Wafer (permanent bonding) • LNA Low Noise Amplifier
• FBAR Film-Bulk Acoustic Resonator • LTE Long-Term Evolution
• FC Flip-Chip • MtM More than Moore (devices)
• eWLB embedded Wafer Level BGA • MEMS Micro-Electro-Mechanical System
7
Bonding and Lithography Equipment market for More than Moore Devices | Sample | www.yole.fr | ©2021
GLOSSARY AND DEFINITIONS (2/2)
• Mm-wave Millimeter Wave • TC-SAW Temperature-Controlled Surface Acoustic Wave
• MOL Middle-of-Line • TCB Thermo-Compression Bonding
• MUT Micromachined Ultrasonic Transducer • THP Throughput (Wafers-per-hour)
• OEM Original Equipment Manufacturer • TLP Transient Liquid Phase Bonding
• OSAT Outsource Semiconductor Assembly and Test • TTV Total Thickness Variation
• PA Power Amplifier • W2W Wafer-to-Wafer
• PMU Power Management Unit • WLC Wafer Level Cap(ping)
• PCB Printed Circuit Board • WLCSP Wafer Level Chip Scale Package
• PoP Package on Package • WLP Wafer Level Packaging
• RDL Redistribution Layers • WoW Wafer-on-Wafer
• RF Radio Frequency
• RCP Redistributed Chip Package
• Rx Receiver/Receive/Reception
• SAB Surface Activated Bonding
• SAW Surface Acoustic Wave
• SiP System-in-Package
• SoC System-on-Chip
• SOI Silicon-On-Insulator
• SMR-BAW Solid Mounted Resonator Bulk Acoustic Wave
8
3M, AlphaTools,Applied Microengineering (AML),Advanced SystemTechnology
(ast),Adtec Engineering, Amkor, ASE Group, ASML, ASM PacificTechnologies,
Advanced SystemTechnology (ast), Ayumi Industry, Applied Materials,
Broadcom/Avago, BondTech, Brewer Science, Canon, Cello Technology, Circuit
Fabology Microelectronics Equipmnet (CFMEE), Deca, Delphi Laser, Dynatech, EO
Technics, ERS electronic, Eshylon Scientific, EV Group, Hakuto, Heidelberg Instruments,
Intel, Kingyoup Optronics, KLATencor/Orbotech, Kulicke & Soffa (Liteq), Japan Science
Engineering, Micron, Mitsubishi Heavy Industries, Nepes, Nidec, Nikon, Onto
Innovation, Orbotech, ORC Manufacturing, Patrow Technologies, Samsung, SCREEN, SK
Hynix, Silicon Light Machines, ST Microelectronics, SÜSS MicroTec , Shanghai Micro
Electronics Equipment Co (SMEE), SY&SE,Takatori,TAZMO,Tecnisco,Tokyo Electron
Limited (TEL),Tokyo Ohka Kogyo (TOK),TSMC, Ushio,Veeco,Via Mechanics,
and many more…
Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021
COMPANIES CITED IN THIS REPORT
Non-exhaustive list
9
Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021
REPORT SCOPE
Detailed Equipment and their More than Moore applications
PROCESS STEP APPLICATIONS
EQUIPMENT TECHNOLOGY
Exposure/
lithography
process
Mask aligner
Projection Lithography
(Stepper, Scanner)
Maskless Lithography/
Laser Direct Imaging
Photo-
lithography
Laser process
MEMS &
Sensors
Power
devices
CIS
Advanced
Packaging
Permanent
bonding
W2W
Fusion
bonding
Bonding
process
Temporary
bonding &
debonding
Anodic Bonding
Mechanical debonding
Thermal Slide-off
Laser debonding
Insulating bonding
Metal bonding
Direct
bonding
Intermediate
bonding
Lift-off process
Slide-off process
CIS
Advanced
Packaging:
Advanced
Packaging
FO WLP
3D TSV,
3D memory
MEMS &
Sensors
Power
devices
MEMS &
Sensors
RF
RF
RF
CIS
Surface Activated
/Covalent Bonding
RF
Power
devices
Oxide
Hybrid
Advanced
Packaging
3D TSV,
3D memory
RF
10
Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021
2020-2026 EQUIPMENT MARKET FOR MORETHAN MOORE DEVICES
Total Lithography,W2W permanent bonding, temporary bonding & debonding equipment market *
Permanent bonding (W2W and co-D2W)
Lithography
Temporary bonding & Debonding
~$ 1.7B
CAGR 9%
~$160M
CAGR 7 %
~$ 535M
CAGR 13%
~ $ 2.4 B
~$1B
~$259M
>$106M
~ $1.38 B
2020
2026
*New Brand Equipment
**CAGR: Compound Annual Growth Rate
**CAGR2020-2026: 10%
11
Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021
HVM EQUIPMENT MAKERS POSITIONING BY PROCESS STEP
Permanent bonding
Temporary bonding
& debonding
Lithography
12
Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021
LITHOGRAPHY AND BONDING TOOLSVENDORS REVENUE EVOLUTION
2017 vs 2020 Estimated Revenue for MtM applications
$210M
$360M
CAGR +20%
$254M
CAGR +28%
$1.38B
CAGR + 28%
$661M
2017
2020
$207M
CAGR +47%
$65M
CAGR 3%
$97M
$65M
$122M
$143M
CAGR 14%
$95M
CAGR +103%
$11.4M
$77M
CAGR +27%
$38M
$60M
$34M
$52M
CAGR +15%
$44M
CAGR +58%
$11M
$21M
CAGR +38%
$8M
$8M
CAGR +12%
$6M
$16M
CAGR +59%
$4M
$42.5M
Others
13
2017 2018 2019 2021
Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021
WHAT IS NEW IN THE INDUSTRY?
Lithography
(M&A)
Bonding
(M&A and
process)
Xperi announces
Invensas DBI® Ultra
14
Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021
CONSIDERED LITHOGRAPHY EQUIPMENT VENDORS LANDSCAPE
Germany
China
Japan
USA
Austria
ProjectionTools
Mask Aligner
Maskless
Lithography/LDI
Singapore
Israel
*For R&D only
*SLM actuators
*
* MasklessTool in R&D level
Non-exhaustive list
15
Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021
ANALYSIS OF LITHOGRAPHY TOOL TECHNOLOGIES AND APPLICATIONS
Breakdown on players and application
+ Focus on Maskless Lithography!
16
Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021
ANALYSIS OF LITHOGRAPHY 2020 EQUIPMENT MARKET
Breakdown on players and application
17
Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021
DETAILED 2020-2026 FORECAST FOR MTM LITHOGRAPHY TOOLS
+ Focus on Advanced Packaging!
18
Bonding
alignment
tool only
Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021
IDENTIFIED PERMANENT BONDING EQUIPMENTVENDORS LANDSCAPE
Germany
Austria
China
Japan
USA
UK
Taiwan
Switzerland
Start-up
Research or Start-up
LVM or HVM
Non-exhaustive list
Direct bonding
Indirect bonding
19
Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021
ANALYSIS OF PERMANENT BONDING 2020 EQUIPMENT MARKET
Breakdown on players and application
20
Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021
DETAILED 2020-2026 FORECAST FOR MTM PERMANENT BONDING TOOLS
21
Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021
IDENTIFIEDTEMPORARY BONDING EQUIPMENTVENDORS LANDSCAPE
Germany
Austria
Japan
USA
Taiwan
Start-up
South Korea
For R&D
China
Non-exhaustive list
22
Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021
ANALYSIS OF TEMPORARY BONDING 2020 EQUIPMENT MARKET
Breakdown on players and application
23
Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021
DETAILED 2020-2026 FORECAST FOR MTM TEMPORARY BONDING TOOLS
24
Contact our
SalesTeam
for more
information
High-End Performance Packaging:
3D/2.5D Integration 2020
6’’ and Below: Small-Dimension
Wafer Market Trends 2020
Bonding and Lithography Equipment market for More than Moore Devices | Sample | www.yole.fr | ©2021
YOLE GROUP OF COMPANIES RELATED REPORTS
Yole Développement
Thinning Equipment Technology and Market
Trends for Semiconductor Devices 2020
Fan-OutWLP and PLP Applications
andTechnologies 2021
25
Contact our
SalesTeam
for more
information
Wafer toWafer Permanent
Bonding Comparison 2018
Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021
YOLE GROUP OF COMPANIES RELATED REPORTS
System Plus Consulting
26
Yole Group of Companies, including Yole Développement,
System Plus Consulting and PISEO, are pleased to provide
you a glimpse of our accumulated knowledge.
We invite you to share our data with your own network,
within your presentations, press releases, dedicated
articles and more, but you first need approval from Yole
Public Relations department.
If you are interested, feel free to contact us right now!
We will also be more than happy to give you updated data
and appropriate formats.
Your contact: Sandrine Leroy, Dir. Public Relations
Email: leroy@yole.fr
HOWTO USE OUR DATA?
About Yole Développement | www.yole.fr | ©2021
27
©2019 | www.yole.fr | About Yole Développement
YOLE DEVELOPPEMENT – FIELDS OF EXPERTISE WITHIN 3 MAIN DOMAINS
Semiconductor & Software
o Semiconductor Packaging and Substrates
o Semiconductor Manufacturing
o Memory
o Computing and Software
Power & Wireless
o RF Devices & Technologies
o Compound Semiconductors & Emerging
Materials
o Power Electronics
o Batteries & Energy Management
Photonics & Sensing
o Photonics
o Lighting
o Imaging
o Sensing & Actuating
o Display
About Yole Développement | www.yole.fr | ©2021
28
About Yole Développement | www.yole.fr | ©2020
CONTACTS
Western US & Canada
Steve Laferriere - steve.laferriere@yole.fr
+ 1 310 600 8267
Eastern US & Canada
ChrisYouman - chris.youman@yole.fr
+1 919 607 9839
Europe and RoW
Lizzie Levenez - lizzie.levenez@yole.fr
+49 15 123 544 182
Benelux, UK & Spain
Marine Wybranietz - marine.wybranietz@yole.fr
+49 69 96 21 76 78
India and RoA
Takashi Onozawa - takashi.onozawa@yole.fr
+81 80 4371 4887
Greater China
MavisWang - mavis.wang@yole.fr
+886 979 336 809 +86 136 6156 6824
Korea
Peter Ok - peter.ok@yole.fr
+82 10 4089 0233
Japan
Miho Ohtake - miho.ohtake@yole.fr
+81 34 4059 204
Japan and Singapore
Itsuyo Oshiba - itsuyo.oshiba@yole.fr
+81 80 3577 3042
Japan
Toru Hosaka – toru.hosaka@yole.fr
+81 90 1775 3866
FINANCIAL SERVICES
› Jean-Christophe Eloy - eloy@yole.fr
+33 4 72 83 01 80
› Ivan Donaldson - ivan.donaldson@yole.fr
+1 208 850 3914
CUSTOM PROJECT SERVICES
› Jérome Azémar, Yole Développement -
jerome.azemar@yole.fr - +33 6 27 68 69 33
› Julie Coulon, System Plus Consulting -
jcoulon@systemplus.fr - +33 2 72 17 89 85
GENERAL
› Sandrine Leroy, Public Relations
sandrine.leroy@yole.fr - +33 4 72 83 01 89
› General inquiries: info@yole.fr - +33 4 72 83 01 80
Follow us on
REPORTS, MONITORS &TRACKS
About Yole Développement | www.yole.fr | ©2021

Contenu connexe

Plus de Yole Developpement

High-end Performance Packaging 2020
High-end Performance Packaging 2020High-end Performance Packaging 2020
High-end Performance Packaging 2020Yole Developpement
 
Computing for Datacenter Servers 2021 - Sample
Computing for Datacenter Servers 2021 - SampleComputing for Datacenter Servers 2021 - Sample
Computing for Datacenter Servers 2021 - SampleYole Developpement
 
5G’s Impact on RF Front-End and Connectivity for Cellphones 2020
5G’s Impact on RF Front-End and Connectivity for Cellphones 20205G’s Impact on RF Front-End and Connectivity for Cellphones 2020
5G’s Impact on RF Front-End and Connectivity for Cellphones 2020Yole Developpement
 
Ultrasound Sensing Technologies 2020
Ultrasound Sensing Technologies 2020Ultrasound Sensing Technologies 2020
Ultrasound Sensing Technologies 2020Yole Developpement
 
Status of the Memory Industry 2020
Status of the Memory Industry 2020Status of the Memory Industry 2020
Status of the Memory Industry 2020Yole Developpement
 
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...Yole Developpement
 
Status of the Radar Industry: Players, Applications and Technology Trends 2020
Status of the Radar Industry: Players, Applications and Technology Trends 2020Status of the Radar Industry: Players, Applications and Technology Trends 2020
Status of the Radar Industry: Players, Applications and Technology Trends 2020Yole Developpement
 
GaN RF Market: Applications, Players, Technology and Substrates 2020
GaN RF Market: Applications, Players, Technology and Substrates 2020GaN RF Market: Applications, Players, Technology and Substrates 2020
GaN RF Market: Applications, Players, Technology and Substrates 2020Yole Developpement
 
BioMEMS Market and Technology 2020
BioMEMS Market and Technology 2020BioMEMS Market and Technology 2020
BioMEMS Market and Technology 2020Yole Developpement
 
Optical Transceivers for Datacom & Telecom 2020
Optical Transceivers for Datacom & Telecom 2020Optical Transceivers for Datacom & Telecom 2020
Optical Transceivers for Datacom & Telecom 2020Yole Developpement
 
Point-of-Need 2020 – Including PCR-Based Testing
Point-of-Need 2020 – Including PCR-Based TestingPoint-of-Need 2020 – Including PCR-Based Testing
Point-of-Need 2020 – Including PCR-Based TestingYole Developpement
 
Silicon Photonics Market & Technology 2020
Silicon Photonics Market & Technology 2020Silicon Photonics Market & Technology 2020
Silicon Photonics Market & Technology 2020Yole Developpement
 
Sensors for Robotic Mobility 2020
Sensors for Robotic Mobility 2020Sensors for Robotic Mobility 2020
Sensors for Robotic Mobility 2020Yole Developpement
 
High-End Inertial Sensors for Defense, Aerospace and Industrial Applications ...
High-End Inertial Sensors for Defense, Aerospace and Industrial Applications ...High-End Inertial Sensors for Defense, Aerospace and Industrial Applications ...
High-End Inertial Sensors for Defense, Aerospace and Industrial Applications ...Yole Developpement
 
Emerging Non-Volatile Memory 2020 report by Yole Développement
Emerging Non-Volatile Memory 2020 report by Yole DéveloppementEmerging Non-Volatile Memory 2020 report by Yole Développement
Emerging Non-Volatile Memory 2020 report by Yole DéveloppementYole Developpement
 
(x)PU: High-End CPU and GPU for Datacenter Applications 2020 report by Yole D...
(x)PU: High-End CPU and GPU for Datacenter Applications 2020 report by Yole D...(x)PU: High-End CPU and GPU for Datacenter Applications 2020 report by Yole D...
(x)PU: High-End CPU and GPU for Datacenter Applications 2020 report by Yole D...Yole Developpement
 
Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...
Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...
Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...Yole Developpement
 
System-in-Package Technology and Market Trends 2020 report by Yole Développement
System-in-Package Technology and Market Trends 2020 report by Yole DéveloppementSystem-in-Package Technology and Market Trends 2020 report by Yole Développement
System-in-Package Technology and Market Trends 2020 report by Yole DéveloppementYole Developpement
 
Nano-Imprint Technology Trends for Semiconductor Applications 2019 Report by...
Nano-Imprint Technology Trends for Semiconductor Applications 2019  Report by...Nano-Imprint Technology Trends for Semiconductor Applications 2019  Report by...
Nano-Imprint Technology Trends for Semiconductor Applications 2019 Report by...Yole Developpement
 
QuantumTechnologies 2020 - Yole Développement
QuantumTechnologies 2020 - Yole DéveloppementQuantumTechnologies 2020 - Yole Développement
QuantumTechnologies 2020 - Yole DéveloppementYole Developpement
 

Plus de Yole Developpement (20)

High-end Performance Packaging 2020
High-end Performance Packaging 2020High-end Performance Packaging 2020
High-end Performance Packaging 2020
 
Computing for Datacenter Servers 2021 - Sample
Computing for Datacenter Servers 2021 - SampleComputing for Datacenter Servers 2021 - Sample
Computing for Datacenter Servers 2021 - Sample
 
5G’s Impact on RF Front-End and Connectivity for Cellphones 2020
5G’s Impact on RF Front-End and Connectivity for Cellphones 20205G’s Impact on RF Front-End and Connectivity for Cellphones 2020
5G’s Impact on RF Front-End and Connectivity for Cellphones 2020
 
Ultrasound Sensing Technologies 2020
Ultrasound Sensing Technologies 2020Ultrasound Sensing Technologies 2020
Ultrasound Sensing Technologies 2020
 
Status of the Memory Industry 2020
Status of the Memory Industry 2020Status of the Memory Industry 2020
Status of the Memory Industry 2020
 
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...
 
Status of the Radar Industry: Players, Applications and Technology Trends 2020
Status of the Radar Industry: Players, Applications and Technology Trends 2020Status of the Radar Industry: Players, Applications and Technology Trends 2020
Status of the Radar Industry: Players, Applications and Technology Trends 2020
 
GaN RF Market: Applications, Players, Technology and Substrates 2020
GaN RF Market: Applications, Players, Technology and Substrates 2020GaN RF Market: Applications, Players, Technology and Substrates 2020
GaN RF Market: Applications, Players, Technology and Substrates 2020
 
BioMEMS Market and Technology 2020
BioMEMS Market and Technology 2020BioMEMS Market and Technology 2020
BioMEMS Market and Technology 2020
 
Optical Transceivers for Datacom & Telecom 2020
Optical Transceivers for Datacom & Telecom 2020Optical Transceivers for Datacom & Telecom 2020
Optical Transceivers for Datacom & Telecom 2020
 
Point-of-Need 2020 – Including PCR-Based Testing
Point-of-Need 2020 – Including PCR-Based TestingPoint-of-Need 2020 – Including PCR-Based Testing
Point-of-Need 2020 – Including PCR-Based Testing
 
Silicon Photonics Market & Technology 2020
Silicon Photonics Market & Technology 2020Silicon Photonics Market & Technology 2020
Silicon Photonics Market & Technology 2020
 
Sensors for Robotic Mobility 2020
Sensors for Robotic Mobility 2020Sensors for Robotic Mobility 2020
Sensors for Robotic Mobility 2020
 
High-End Inertial Sensors for Defense, Aerospace and Industrial Applications ...
High-End Inertial Sensors for Defense, Aerospace and Industrial Applications ...High-End Inertial Sensors for Defense, Aerospace and Industrial Applications ...
High-End Inertial Sensors for Defense, Aerospace and Industrial Applications ...
 
Emerging Non-Volatile Memory 2020 report by Yole Développement
Emerging Non-Volatile Memory 2020 report by Yole DéveloppementEmerging Non-Volatile Memory 2020 report by Yole Développement
Emerging Non-Volatile Memory 2020 report by Yole Développement
 
(x)PU: High-End CPU and GPU for Datacenter Applications 2020 report by Yole D...
(x)PU: High-End CPU and GPU for Datacenter Applications 2020 report by Yole D...(x)PU: High-End CPU and GPU for Datacenter Applications 2020 report by Yole D...
(x)PU: High-End CPU and GPU for Datacenter Applications 2020 report by Yole D...
 
Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...
Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...
Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...
 
System-in-Package Technology and Market Trends 2020 report by Yole Développement
System-in-Package Technology and Market Trends 2020 report by Yole DéveloppementSystem-in-Package Technology and Market Trends 2020 report by Yole Développement
System-in-Package Technology and Market Trends 2020 report by Yole Développement
 
Nano-Imprint Technology Trends for Semiconductor Applications 2019 Report by...
Nano-Imprint Technology Trends for Semiconductor Applications 2019  Report by...Nano-Imprint Technology Trends for Semiconductor Applications 2019  Report by...
Nano-Imprint Technology Trends for Semiconductor Applications 2019 Report by...
 
QuantumTechnologies 2020 - Yole Développement
QuantumTechnologies 2020 - Yole DéveloppementQuantumTechnologies 2020 - Yole Développement
QuantumTechnologies 2020 - Yole Développement
 

Dernier

Bridging Between CAD & GIS: 6 Ways to Automate Your Data Integration
Bridging Between CAD & GIS:  6 Ways to Automate Your Data IntegrationBridging Between CAD & GIS:  6 Ways to Automate Your Data Integration
Bridging Between CAD & GIS: 6 Ways to Automate Your Data Integrationmarketing932765
 
Varsha Sewlal- Cyber Attacks on Critical Critical Infrastructure
Varsha Sewlal- Cyber Attacks on Critical Critical InfrastructureVarsha Sewlal- Cyber Attacks on Critical Critical Infrastructure
Varsha Sewlal- Cyber Attacks on Critical Critical Infrastructureitnewsafrica
 
How to Effectively Monitor SD-WAN and SASE Environments with ThousandEyes
How to Effectively Monitor SD-WAN and SASE Environments with ThousandEyesHow to Effectively Monitor SD-WAN and SASE Environments with ThousandEyes
How to Effectively Monitor SD-WAN and SASE Environments with ThousandEyesThousandEyes
 
Modern Roaming for Notes and Nomad – Cheaper Faster Better Stronger
Modern Roaming for Notes and Nomad – Cheaper Faster Better StrongerModern Roaming for Notes and Nomad – Cheaper Faster Better Stronger
Modern Roaming for Notes and Nomad – Cheaper Faster Better Strongerpanagenda
 
Unleashing Real-time Insights with ClickHouse_ Navigating the Landscape in 20...
Unleashing Real-time Insights with ClickHouse_ Navigating the Landscape in 20...Unleashing Real-time Insights with ClickHouse_ Navigating the Landscape in 20...
Unleashing Real-time Insights with ClickHouse_ Navigating the Landscape in 20...Alkin Tezuysal
 
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024BookNet Canada
 
The State of Passkeys with FIDO Alliance.pptx
The State of Passkeys with FIDO Alliance.pptxThe State of Passkeys with FIDO Alliance.pptx
The State of Passkeys with FIDO Alliance.pptxLoriGlavin3
 
TrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data PrivacyTrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data PrivacyTrustArc
 
Data governance with Unity Catalog Presentation
Data governance with Unity Catalog PresentationData governance with Unity Catalog Presentation
Data governance with Unity Catalog PresentationKnoldus Inc.
 
So einfach geht modernes Roaming fuer Notes und Nomad.pdf
So einfach geht modernes Roaming fuer Notes und Nomad.pdfSo einfach geht modernes Roaming fuer Notes und Nomad.pdf
So einfach geht modernes Roaming fuer Notes und Nomad.pdfpanagenda
 
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024BookNet Canada
 
Generative AI - Gitex v1Generative AI - Gitex v1.pptx
Generative AI - Gitex v1Generative AI - Gitex v1.pptxGenerative AI - Gitex v1Generative AI - Gitex v1.pptx
Generative AI - Gitex v1Generative AI - Gitex v1.pptxfnnc6jmgwh
 
QCon London: Mastering long-running processes in modern architectures
QCon London: Mastering long-running processes in modern architecturesQCon London: Mastering long-running processes in modern architectures
QCon London: Mastering long-running processes in modern architecturesBernd Ruecker
 
Glenn Lazarus- Why Your Observability Strategy Needs Security Observability
Glenn Lazarus- Why Your Observability Strategy Needs Security ObservabilityGlenn Lazarus- Why Your Observability Strategy Needs Security Observability
Glenn Lazarus- Why Your Observability Strategy Needs Security Observabilityitnewsafrica
 
A Journey Into the Emotions of Software Developers
A Journey Into the Emotions of Software DevelopersA Journey Into the Emotions of Software Developers
A Journey Into the Emotions of Software DevelopersNicole Novielli
 
Connecting the Dots for Information Discovery.pdf
Connecting the Dots for Information Discovery.pdfConnecting the Dots for Information Discovery.pdf
Connecting the Dots for Information Discovery.pdfNeo4j
 
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxMerck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxLoriGlavin3
 
The Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and ConsThe Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and ConsPixlogix Infotech
 
The Future Roadmap for the Composable Data Stack - Wes McKinney - Data Counci...
The Future Roadmap for the Composable Data Stack - Wes McKinney - Data Counci...The Future Roadmap for the Composable Data Stack - Wes McKinney - Data Counci...
The Future Roadmap for the Composable Data Stack - Wes McKinney - Data Counci...Wes McKinney
 
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptxThe Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptxLoriGlavin3
 

Dernier (20)

Bridging Between CAD & GIS: 6 Ways to Automate Your Data Integration
Bridging Between CAD & GIS:  6 Ways to Automate Your Data IntegrationBridging Between CAD & GIS:  6 Ways to Automate Your Data Integration
Bridging Between CAD & GIS: 6 Ways to Automate Your Data Integration
 
Varsha Sewlal- Cyber Attacks on Critical Critical Infrastructure
Varsha Sewlal- Cyber Attacks on Critical Critical InfrastructureVarsha Sewlal- Cyber Attacks on Critical Critical Infrastructure
Varsha Sewlal- Cyber Attacks on Critical Critical Infrastructure
 
How to Effectively Monitor SD-WAN and SASE Environments with ThousandEyes
How to Effectively Monitor SD-WAN and SASE Environments with ThousandEyesHow to Effectively Monitor SD-WAN and SASE Environments with ThousandEyes
How to Effectively Monitor SD-WAN and SASE Environments with ThousandEyes
 
Modern Roaming for Notes and Nomad – Cheaper Faster Better Stronger
Modern Roaming for Notes and Nomad – Cheaper Faster Better StrongerModern Roaming for Notes and Nomad – Cheaper Faster Better Stronger
Modern Roaming for Notes and Nomad – Cheaper Faster Better Stronger
 
Unleashing Real-time Insights with ClickHouse_ Navigating the Landscape in 20...
Unleashing Real-time Insights with ClickHouse_ Navigating the Landscape in 20...Unleashing Real-time Insights with ClickHouse_ Navigating the Landscape in 20...
Unleashing Real-time Insights with ClickHouse_ Navigating the Landscape in 20...
 
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
 
The State of Passkeys with FIDO Alliance.pptx
The State of Passkeys with FIDO Alliance.pptxThe State of Passkeys with FIDO Alliance.pptx
The State of Passkeys with FIDO Alliance.pptx
 
TrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data PrivacyTrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data Privacy
 
Data governance with Unity Catalog Presentation
Data governance with Unity Catalog PresentationData governance with Unity Catalog Presentation
Data governance with Unity Catalog Presentation
 
So einfach geht modernes Roaming fuer Notes und Nomad.pdf
So einfach geht modernes Roaming fuer Notes und Nomad.pdfSo einfach geht modernes Roaming fuer Notes und Nomad.pdf
So einfach geht modernes Roaming fuer Notes und Nomad.pdf
 
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
 
Generative AI - Gitex v1Generative AI - Gitex v1.pptx
Generative AI - Gitex v1Generative AI - Gitex v1.pptxGenerative AI - Gitex v1Generative AI - Gitex v1.pptx
Generative AI - Gitex v1Generative AI - Gitex v1.pptx
 
QCon London: Mastering long-running processes in modern architectures
QCon London: Mastering long-running processes in modern architecturesQCon London: Mastering long-running processes in modern architectures
QCon London: Mastering long-running processes in modern architectures
 
Glenn Lazarus- Why Your Observability Strategy Needs Security Observability
Glenn Lazarus- Why Your Observability Strategy Needs Security ObservabilityGlenn Lazarus- Why Your Observability Strategy Needs Security Observability
Glenn Lazarus- Why Your Observability Strategy Needs Security Observability
 
A Journey Into the Emotions of Software Developers
A Journey Into the Emotions of Software DevelopersA Journey Into the Emotions of Software Developers
A Journey Into the Emotions of Software Developers
 
Connecting the Dots for Information Discovery.pdf
Connecting the Dots for Information Discovery.pdfConnecting the Dots for Information Discovery.pdf
Connecting the Dots for Information Discovery.pdf
 
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxMerck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
 
The Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and ConsThe Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and Cons
 
The Future Roadmap for the Composable Data Stack - Wes McKinney - Data Counci...
The Future Roadmap for the Composable Data Stack - Wes McKinney - Data Counci...The Future Roadmap for the Composable Data Stack - Wes McKinney - Data Counci...
The Future Roadmap for the Composable Data Stack - Wes McKinney - Data Counci...
 
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptxThe Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
 

Lithography and Bonding equipment for More than Moore 2021 - Sample

  • 1. From Technologies to Markets © 2021 Lithography and Bonding equipment for More than Moore 2021 Market and Technology Report 2021 Sample
  • 2. 2 • Glossary and definition 2 • Table of contents 4 • Report objectives 6 • Report scope 7 • Who should read this report? 10 • Report methodology 11 • About the authors 12 • Companies cited in this report 13 • What we got right, what we got wrong 14 • Disclaimer 15 • Three-page summary 16 • Executive summary 20 o Three-page summary 21 o Lithography 28 o Permanent bonding 42 o Temporary bonding 53 • Context 63 o More than Moore devices 64 o More than Moore equipment 73 o Players 80 o Market movements 104 o Geopolitical factors 111 TABLE OF CONTENTS Part 1/2 • Lithography equipment 117 o Lithography adoption in MtM devices 123 o Lithography equipment benchmark 124 o Maskless lithography 140 o MEMS and sensors lithography 148 o Trends and requirements o Substrate material and size o Market assumptions and forecast o Power devices lithography 165 o Trends and requirements o Substrate material and size o Market assumptions and forecast o RF devices lithography 179 o Trends and requirements o Substrate material and size o Market assumptions and forecast o CMOS Image Sensor lithography 195 o Trends and requirements o Substrate material and size o Market assumptions and forecast o Advanced packaging lithography 209 o Trends and requirements o Focus on panel level packaging o Focus on panel level packaging o Lithography equipment market forecast 258 Lithography and Bonding Equipment for More than Moore 2021 | Report | www.yole.fr | ©2021
  • 3. 3 TABLE OF CONTENTS Part 2/2 • Permanent bonding equipment 270 o Scope of this report 271 o Wafer-to-wafer vs die-to-wafer 272 o Permanent bonding technologies 285 o Permanent bonding adoption in MtM devices 287 o Permanent bonding equipment benchmark 291 o Emerging permanent bonding technologies 294 o MEMS and sensors permanent bonding 297 o Trends and requirements o Substrate material and size o Market assumptions and forecast o 3D stacking and integration permanent bonding 315 o Hybrid bonding definition and adoption o Market assumptions and forecast o CIS permanent bonding 327 o Trends and requirements o Substrate material and size o Market assumptions and forecast o SOI and engineered substrates permanent bonding 342 o Permanent bonding equipment market forecast 348 • Temporary bonding equipment 360 • Temporary bonding adoption in MtM devices 361 • Temporary bonding technology and equipment 369 • Emerging temporary bonding technologies 382 • Temporary bonding equipment market forecast 385 • Temporary bonding carrier and adhesive 397 • Summary and outlooks 405 • Yole Group related reports 409 • How to use our data? 412 • Appendix 413 • Yole Corporate presentation 423 Lithography and Bonding Equipment for More than Moore 2021 | Report | www.yole.fr | ©2021
  • 4. 4 This is a subsequent update of the report byYole Développement on Equipment for Lithography and Bonding processes for More than Moore devices, including MEMS and Sensors, RF, Power, CIS as well as some aspects of Advanced Packaging. Objectives of this report: 1. To provide the overview on lithography and bonding equipment available on the market for MtM devices as well as associated processes and their applications. o State-of-the-art manufacturing processes and dedicated equipment, their challenges and potential o Emerging manufacturing processes, their roadmap and dedicated equipment adaptation 2. To provide in-depth understanding of the MtM lithography and bonding ecosystem & players. o Equipment Manufacturers landscape and technology segmentation o Equipment Manufacturers market presence and market share with respect to the technology and applications 1. To provide 2020-2026 forecast on the changing MtM lithography and bonding equipment market o Equipment technical adaptation,THP and ASP variation o Market size and equipment adoption forecast in terms of units shipped and revenue o Market size in terms of overall market presence and detailed 2020 market share Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021 REPORT OBJECTIVES Yours needs are out of the report’ scope? Contact us for a custom:
  • 5. 5 TaguhiYEGHOYAN TaguhiYeghoyan PhD., is aTechnology & Market Analyst, Semiconductor Manufacturing at Yole Développement (Yole), within the Semiconductor, Memory & Computing division. Taguhi’s mission is to follow daily the semiconductor industry and its evolution. Based on her expertise in this field, especially on the semiconductor supply chain (processes, materials, equipment and related applications),Taguhi performs technology & market reports and is engaged in dedicated custom projects. Prior toYole, she worked in world-class European research centers and laboratories, including imec (Belgium), LMI (Lyon, France) and LTM at CEA Leti (Grenoble, France).All along her past experiences,Taguhi has authored or co-authored a patent and more than ten papers. She has graduated fromWroclaw University of Technology (Poland) and University of Lyon (France).Taguhi also completed her PhD. in Material Science from the University of Lyon (France). taguhi.yeghoyan@yole.fr Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021 ABOUT THE AUTHORS Biographies & contacts
  • 6. 6 Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021 GLOSSARY AND DEFINITIONS (1/2) • ADB Atomic Diffusion Bonding • FEOL Front-End-of-Line • AiP Antenna in Package • FOWLP Fan-Out Wafer Level Packaging • ASP Average Selling Price • FOPLP Fan-Out Panel Level Packaging • AR Augmented Reality • FSI Front-Side Illumination • VR Virtual Reality • GaAs Gallium Arsenide • ASIC Application-Specific Integrated Circuit • GaP Gallium phosphide • BAW Bulk Acoustic Wave • HBT Heterojunction Bipolar Transistor • BGA Ball Grid Array • HEMT High Electron Mobility Transistor • BEOL Back-end Of Line • HVM High Volume Manufacturing • Bi-CMOS Bipolar and CMOS process technology • IC Integrated Circuit • BSI CIS Back-side illumination CMOS Image Sensor • ICB Impulse Current Bonding • C2W Chip -to-Wafer (permanent bonding) • IDM Integrated Device Manufacturer • CIS CMOS Image Sensors • IGBT Insulated Gate Bipolar Transistor • CMP Chemical Chemical Polishing • IO Inputs/Outputs • CoO Cost Of Ownership • IP Intellectual Property • CSP Chip Scale Package • LAB Laser Assisted Annealing • D2D Die-to-Die (permanent bonding) • LCD Liquid crystal display • (co) D2W (collective) Die-to-Wafer (permanent bonding) • LNA Low Noise Amplifier • FBAR Film-Bulk Acoustic Resonator • LTE Long-Term Evolution • FC Flip-Chip • MtM More than Moore (devices) • eWLB embedded Wafer Level BGA • MEMS Micro-Electro-Mechanical System
  • 7. 7 Bonding and Lithography Equipment market for More than Moore Devices | Sample | www.yole.fr | ©2021 GLOSSARY AND DEFINITIONS (2/2) • Mm-wave Millimeter Wave • TC-SAW Temperature-Controlled Surface Acoustic Wave • MOL Middle-of-Line • TCB Thermo-Compression Bonding • MUT Micromachined Ultrasonic Transducer • THP Throughput (Wafers-per-hour) • OEM Original Equipment Manufacturer • TLP Transient Liquid Phase Bonding • OSAT Outsource Semiconductor Assembly and Test • TTV Total Thickness Variation • PA Power Amplifier • W2W Wafer-to-Wafer • PMU Power Management Unit • WLC Wafer Level Cap(ping) • PCB Printed Circuit Board • WLCSP Wafer Level Chip Scale Package • PoP Package on Package • WLP Wafer Level Packaging • RDL Redistribution Layers • WoW Wafer-on-Wafer • RF Radio Frequency • RCP Redistributed Chip Package • Rx Receiver/Receive/Reception • SAB Surface Activated Bonding • SAW Surface Acoustic Wave • SiP System-in-Package • SoC System-on-Chip • SOI Silicon-On-Insulator • SMR-BAW Solid Mounted Resonator Bulk Acoustic Wave
  • 8. 8 3M, AlphaTools,Applied Microengineering (AML),Advanced SystemTechnology (ast),Adtec Engineering, Amkor, ASE Group, ASML, ASM PacificTechnologies, Advanced SystemTechnology (ast), Ayumi Industry, Applied Materials, Broadcom/Avago, BondTech, Brewer Science, Canon, Cello Technology, Circuit Fabology Microelectronics Equipmnet (CFMEE), Deca, Delphi Laser, Dynatech, EO Technics, ERS electronic, Eshylon Scientific, EV Group, Hakuto, Heidelberg Instruments, Intel, Kingyoup Optronics, KLATencor/Orbotech, Kulicke & Soffa (Liteq), Japan Science Engineering, Micron, Mitsubishi Heavy Industries, Nepes, Nidec, Nikon, Onto Innovation, Orbotech, ORC Manufacturing, Patrow Technologies, Samsung, SCREEN, SK Hynix, Silicon Light Machines, ST Microelectronics, SÜSS MicroTec , Shanghai Micro Electronics Equipment Co (SMEE), SY&SE,Takatori,TAZMO,Tecnisco,Tokyo Electron Limited (TEL),Tokyo Ohka Kogyo (TOK),TSMC, Ushio,Veeco,Via Mechanics, and many more… Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021 COMPANIES CITED IN THIS REPORT Non-exhaustive list
  • 9. 9 Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021 REPORT SCOPE Detailed Equipment and their More than Moore applications PROCESS STEP APPLICATIONS EQUIPMENT TECHNOLOGY Exposure/ lithography process Mask aligner Projection Lithography (Stepper, Scanner) Maskless Lithography/ Laser Direct Imaging Photo- lithography Laser process MEMS & Sensors Power devices CIS Advanced Packaging Permanent bonding W2W Fusion bonding Bonding process Temporary bonding & debonding Anodic Bonding Mechanical debonding Thermal Slide-off Laser debonding Insulating bonding Metal bonding Direct bonding Intermediate bonding Lift-off process Slide-off process CIS Advanced Packaging: Advanced Packaging FO WLP 3D TSV, 3D memory MEMS & Sensors Power devices MEMS & Sensors RF RF RF CIS Surface Activated /Covalent Bonding RF Power devices Oxide Hybrid Advanced Packaging 3D TSV, 3D memory RF
  • 10. 10 Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021 2020-2026 EQUIPMENT MARKET FOR MORETHAN MOORE DEVICES Total Lithography,W2W permanent bonding, temporary bonding & debonding equipment market * Permanent bonding (W2W and co-D2W) Lithography Temporary bonding & Debonding ~$ 1.7B CAGR 9% ~$160M CAGR 7 % ~$ 535M CAGR 13% ~ $ 2.4 B ~$1B ~$259M >$106M ~ $1.38 B 2020 2026 *New Brand Equipment **CAGR: Compound Annual Growth Rate **CAGR2020-2026: 10%
  • 11. 11 Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021 HVM EQUIPMENT MAKERS POSITIONING BY PROCESS STEP Permanent bonding Temporary bonding & debonding Lithography
  • 12. 12 Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021 LITHOGRAPHY AND BONDING TOOLSVENDORS REVENUE EVOLUTION 2017 vs 2020 Estimated Revenue for MtM applications $210M $360M CAGR +20% $254M CAGR +28% $1.38B CAGR + 28% $661M 2017 2020 $207M CAGR +47% $65M CAGR 3% $97M $65M $122M $143M CAGR 14% $95M CAGR +103% $11.4M $77M CAGR +27% $38M $60M $34M $52M CAGR +15% $44M CAGR +58% $11M $21M CAGR +38% $8M $8M CAGR +12% $6M $16M CAGR +59% $4M $42.5M Others
  • 13. 13 2017 2018 2019 2021 Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021 WHAT IS NEW IN THE INDUSTRY? Lithography (M&A) Bonding (M&A and process) Xperi announces Invensas DBI® Ultra
  • 14. 14 Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021 CONSIDERED LITHOGRAPHY EQUIPMENT VENDORS LANDSCAPE Germany China Japan USA Austria ProjectionTools Mask Aligner Maskless Lithography/LDI Singapore Israel *For R&D only *SLM actuators * * MasklessTool in R&D level Non-exhaustive list
  • 15. 15 Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021 ANALYSIS OF LITHOGRAPHY TOOL TECHNOLOGIES AND APPLICATIONS Breakdown on players and application + Focus on Maskless Lithography!
  • 16. 16 Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021 ANALYSIS OF LITHOGRAPHY 2020 EQUIPMENT MARKET Breakdown on players and application
  • 17. 17 Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021 DETAILED 2020-2026 FORECAST FOR MTM LITHOGRAPHY TOOLS + Focus on Advanced Packaging!
  • 18. 18 Bonding alignment tool only Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021 IDENTIFIED PERMANENT BONDING EQUIPMENTVENDORS LANDSCAPE Germany Austria China Japan USA UK Taiwan Switzerland Start-up Research or Start-up LVM or HVM Non-exhaustive list Direct bonding Indirect bonding
  • 19. 19 Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021 ANALYSIS OF PERMANENT BONDING 2020 EQUIPMENT MARKET Breakdown on players and application
  • 20. 20 Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021 DETAILED 2020-2026 FORECAST FOR MTM PERMANENT BONDING TOOLS
  • 21. 21 Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021 IDENTIFIEDTEMPORARY BONDING EQUIPMENTVENDORS LANDSCAPE Germany Austria Japan USA Taiwan Start-up South Korea For R&D China Non-exhaustive list
  • 22. 22 Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021 ANALYSIS OF TEMPORARY BONDING 2020 EQUIPMENT MARKET Breakdown on players and application
  • 23. 23 Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021 DETAILED 2020-2026 FORECAST FOR MTM TEMPORARY BONDING TOOLS
  • 24. 24 Contact our SalesTeam for more information High-End Performance Packaging: 3D/2.5D Integration 2020 6’’ and Below: Small-Dimension Wafer Market Trends 2020 Bonding and Lithography Equipment market for More than Moore Devices | Sample | www.yole.fr | ©2021 YOLE GROUP OF COMPANIES RELATED REPORTS Yole Développement Thinning Equipment Technology and Market Trends for Semiconductor Devices 2020 Fan-OutWLP and PLP Applications andTechnologies 2021
  • 25. 25 Contact our SalesTeam for more information Wafer toWafer Permanent Bonding Comparison 2018 Lithography and Bonding equipment for More than Moore 2021 | Sample | www.yole.fr | ©2021 YOLE GROUP OF COMPANIES RELATED REPORTS System Plus Consulting
  • 26. 26 Yole Group of Companies, including Yole Développement, System Plus Consulting and PISEO, are pleased to provide you a glimpse of our accumulated knowledge. We invite you to share our data with your own network, within your presentations, press releases, dedicated articles and more, but you first need approval from Yole Public Relations department. If you are interested, feel free to contact us right now! We will also be more than happy to give you updated data and appropriate formats. Your contact: Sandrine Leroy, Dir. Public Relations Email: leroy@yole.fr HOWTO USE OUR DATA? About Yole Développement | www.yole.fr | ©2021
  • 27. 27 ©2019 | www.yole.fr | About Yole Développement YOLE DEVELOPPEMENT – FIELDS OF EXPERTISE WITHIN 3 MAIN DOMAINS Semiconductor & Software o Semiconductor Packaging and Substrates o Semiconductor Manufacturing o Memory o Computing and Software Power & Wireless o RF Devices & Technologies o Compound Semiconductors & Emerging Materials o Power Electronics o Batteries & Energy Management Photonics & Sensing o Photonics o Lighting o Imaging o Sensing & Actuating o Display About Yole Développement | www.yole.fr | ©2021
  • 28. 28 About Yole Développement | www.yole.fr | ©2020 CONTACTS Western US & Canada Steve Laferriere - steve.laferriere@yole.fr + 1 310 600 8267 Eastern US & Canada ChrisYouman - chris.youman@yole.fr +1 919 607 9839 Europe and RoW Lizzie Levenez - lizzie.levenez@yole.fr +49 15 123 544 182 Benelux, UK & Spain Marine Wybranietz - marine.wybranietz@yole.fr +49 69 96 21 76 78 India and RoA Takashi Onozawa - takashi.onozawa@yole.fr +81 80 4371 4887 Greater China MavisWang - mavis.wang@yole.fr +886 979 336 809 +86 136 6156 6824 Korea Peter Ok - peter.ok@yole.fr +82 10 4089 0233 Japan Miho Ohtake - miho.ohtake@yole.fr +81 34 4059 204 Japan and Singapore Itsuyo Oshiba - itsuyo.oshiba@yole.fr +81 80 3577 3042 Japan Toru Hosaka – toru.hosaka@yole.fr +81 90 1775 3866 FINANCIAL SERVICES › Jean-Christophe Eloy - eloy@yole.fr +33 4 72 83 01 80 › Ivan Donaldson - ivan.donaldson@yole.fr +1 208 850 3914 CUSTOM PROJECT SERVICES › Jérome Azémar, Yole Développement - jerome.azemar@yole.fr - +33 6 27 68 69 33 › Julie Coulon, System Plus Consulting - jcoulon@systemplus.fr - +33 2 72 17 89 85 GENERAL › Sandrine Leroy, Public Relations sandrine.leroy@yole.fr - +33 4 72 83 01 89 › General inquiries: info@yole.fr - +33 4 72 83 01 80 Follow us on REPORTS, MONITORS &TRACKS About Yole Développement | www.yole.fr | ©2021